FREE SHIPPING ON ALL BUSHNELL PRODUCTS

LCMXO2-1200HC-4TG144I IC FPGA 107 I/O 144TQFP

Inkcazelo emfutshane:

Inxalenye ye-Mfr: LCMXO2-1200HC-4TG144I

Umenzi: iLattice Semiconductor Corporation
Iphakheji: 144-LQFP
inkcazelo: series Field Programmable Gate Array (FPGA) IC 107 65536 1280 144-LQFP

Iphepha ledatha: Nceda uqhagamshelane nathi.


Iinkcukacha zeMveliso

Iithegi zeMveliso

IParameter yeMveliso

Inkcazo

Intsapho ye-MachXO2 ye-ultra low power, i-instant-on, i-PLD engaguqukiyo inezixhobo ezintandathu ezinoxinano ukusuka kwi-256 ukuya kwi-6864 ye-Look-Up Tables (LUTs).Ukongeza kwi-LUT-based, ixabiso eliphantsi lokucwangcisa i-logic ezi zixhobo zibandakanya iBlock e-Embedded RAM (EBR), i-RAM esasazwayo, iMemori yoMsebenzisi weFlash (UFM), iSigaba esitshixiweyo sokutshixa (PLLs), inkxaso ye-synchronous ye-I/O yomthombo, inkxaso yoqwalaselo oluphezulu. kuquka i-double-boot capability kunye neenguqulelo ezilukhuni zemisebenzi esetyenziswa ngokuqhelekileyo njengomlawuli we-SPI, umlawuli we-I2 C kunye ne-timer / counter.Ezi mpawu zivumela ukuba ezi zixhobo zisetyenziswe kwiindleko eziphantsi, umthengi ophezulu kunye neenkqubo zenkqubo.Izixhobo ze-MachXO2 zenzelwe inkqubo ye-65 nm engaguqukiyo yamandla aphantsi.Uyilo lwesixhobo luneempawu ezininzi ezifana ne-programmable low swing differential I / Os kunye nokukwazi ukucima iibhanki ze-I / O, ii-PLL ze-chip kunye ne-oscillators ngamandla.Ezi mpawu zinceda ukulawula ukusetyenziswa kwamandla amileyo kunye naguqukayo okukhokelela kumandla asezantsi angatshintshi kuwo onke amalungu osapho.Izixhobo ze-MachXO2 zifumaneka kwiinguqulelo ezimbini - amandla aphantsi kakhulu (ZE) kunye nokusebenza okuphezulu (HC kunye ne-HE) izixhobo.Izixhobo zamandla aphantsi kakhulu zinikezelwa kumabakala amathathu esantya -1, -2 kunye -3, kunye -3 yeyona ikhawulezayo.Ngokufanayo, izixhobo zokusebenza eziphezulu zibonelelwa ngamabakala amathathu esantya: -4, -5 kunye -6, kunye ne-6 eyona ikhawulezayo.Izixhobo ze-HC zine-internal linear voltage regulator exhasa amandla ombane obonelelo lwe-VCC yangaphandle ye-3.3 V okanye i-2.5 V. ZE kunye nezixhobo ze-HE zamkela kuphela i-1.2 V njenge-voltage yangaphandle yokubonelela nge-VCC.Ngaphandle kwamandla ombane ombane zontathu iindidi zezixhobo (ZE, HC kunye ne-HE) zisebenza ngokuhambelana kwaye zihambelana nepini enye kwenye.Ii-MachXO2 PLDs zifumaneka kuluhlu olubanzi lweepakethe ezihambele phambili zehalogen-free ukusuka kwindawo eyongayo 2.5 mm x 2.5 mm WLCSP ukuya kwi-23 mm x 23 mm fpBGA.Izixhobo ze-MachXO2 zixhasa ukufuduka koxinaniso ngaphakathi kwephakheji enye.Itheyibhile 1-1 ibonisa ukuxinana kwe-LUT, iphakheji kunye ne-I / O iinketho, kunye nezinye iiparameters eziphambili.Umthombo owenziwe kwangaphambili we-synchronous logic ophunyeziweyo kwintsapho yesixhobo se-MachXO2 ixhasa uluhlu olubanzi lwemigangatho ye-interface, kuquka i-LPDDR, i-DDR, i-DDR2 kunye ne-7: i-1 gearing yokubonisa i-I / Os.

 

Iinkcukacha:
Uphawu Ixabiso
Udidi IiSekethe eziDityanisiweyo (ICs)
Izinziselwe - FPGAs (Ibala elinoLungiselelo lweSango loMmandla)
Mfr I-Lattice Semiconductor Corporation
Uthotho MachXO2
Iphakheji Itreyi
Ubume benxalenye Iyasebenza
Inani le-LABs/CLBs 160
Inani leLogic Elements/Seli 1280
Iyonke iBits RAM 65536
Inani le-I/O 107
Voltage - Unikezelo 2.375V ~ 3.465V
Uhlobo lokuNqamisa INtaba engaphezulu
Ubushushu bokusebenza -40°C ~ 100°C (TJ)
Iphakheji / Ityala 144-LQFP
Supplier Device Package 144-TQFP (20x20)
Inombolo yeMveliso esisiseko LMXO2-1200

I-LATTICE 1

 

I-LATTICE 2

 

 

 


  • Ngaphambili:
  • Okulandelayo:

  • Bhala umyalezo wakho apha kwaye uwuthumele kuthi